電子工作

TC4511でアノードコモン7セグLEDを点灯する

7セグメントLEDデコーダとは

TC4511というのは、7セグメントLEDデコーダです。

2進数で0~9までの数字を入力すると、7セグメントLEDを指定の組み合わせで点灯し、数字を表示します。

Aが下位ビット、Dが上位ビットになっており、入力によって対応したセグメントにHighが出力されます。

アノードコモン7セグとは

7セグメントLEDは2種類あり、アノード側が共通のアノードコモンと、カソード側が共通のカソードコモンのものが存在します。

LEDの極性 アノードとカソードの見分け方

カソードコモンでは、制御するICの出力端子がHighの場合に点灯し、アノードコモンではLowの場合に点灯します。よって、カソードコモンはソース電流で点灯する場合、アノードコモンはシンク電流で点灯させる場合に使用します。

「7セグメントLED点灯」で検索すると、大抵はカソードコモンの7セグLED点灯の解説記事が出てきますが、私の手元にはアノードコモンのものしか無かったので、NOT回路を挟んでTC4511の出力がHighの場合にLowを出力するようにして点灯します。

回路図

配線

今回、TC4511に当たる部分は、これの74HCタイプである74HC4511APを使用しました。また、回路図上ではNOT回路にTC4049を使用していますが、手持ちがなかったのでTC50H000Pを代替で使用しました。

このTC50H000P、古い学校の廃棄品を貰ったものなのですが、データシート以外の情報が全くと言っていいほど無いんですよね()東芝のサイトから検索をかけても出てこない…

7セグに抵抗つけてませんが、そこはご愛嬌ということで(汗)光ってるのでヨシ!

当然ですが、入力を変えると数字がこのように変わります。

ちなみに、私の使用した7セグは2色表示対応のものです。VCCの入力ピンを変えると、表示色が変わります。

(もっと)いろいろ出来る

この回路と、Arduinoを組み合わせることで、もっと多くのことが出来たりします。

Arduinoから数字の入力をすることは勿論、4511のBIピンがLの時は表示されないことを利用し、PWMで明るさを変えることも出来ます。